1. e1f25ff Snap for 8426163 from 38565fed0190616ef3aaf90761565c61535325d1 to mainline-tzdata2-release by Android Build Coastguard Worker · 2 years, 2 months ago aml_tz2_305400100 android12-mainline-tzdata2-release aml_tz2_303200001 aml_tz2_303800001 aml_tz2_303800002 aml_tz2_303900102 aml_tz2_303900110 aml_tz2_304500300 aml_tz2_305400100 aml_tz2_305400300 aml_tz2_305400500 android-mainline-12.0.0_r112
  2. 04ddb6b Snap for 7803083 from b1ef775f42f00d371938715dde326889497c5387 to mainline-tzdata2-release by Android Build Coastguard Worker · 2 years, 8 months ago
  3. b1ef775 Skip slot 2 for other radio modules in single sim mode am: c6a7143b1d am: c8bf2210fa by Shuo Qian · 2 years, 8 months ago
  4. c8bf221 Skip slot 2 for other radio modules in single sim mode am: c6a7143b1d by Shuo Qian · 2 years, 8 months ago
  5. c6a7143 Skip slot 2 for other radio modules in single sim mode by Shuo Qian · 2 years, 8 months ago
  6. ceb7719 Sending SMS via 1.6 APIs in VTS 1.6 am: 121ff3c5ef am: f8bb1e244e by Aaron Tsai · 2 years, 9 months ago
  7. f8bb1e2 Sending SMS via 1.6 APIs in VTS 1.6 am: 121ff3c5ef by Aaron Tsai · 2 years, 9 months ago
  8. 121ff3c Sending SMS via 1.6 APIs in VTS 1.6 by Aaron Tsai · 2 years, 10 months ago
  9. 0770d4c Merge "Camera: VTS: Set proper dataSpace for reprocessing test" into stage-aosp-sc-ts-dev am: 6b94d29f51 am: 7a0dff7492 by TreeHugger Robot · 2 years, 9 months ago
  10. 7a0dff7 Merge "Camera: VTS: Set proper dataSpace for reprocessing test" into stage-aosp-sc-ts-dev am: 6b94d29f51 by TreeHugger Robot · 2 years, 9 months ago
  11. 6b94d29 Merge "Camera: VTS: Set proper dataSpace for reprocessing test" into stage-aosp-sc-ts-dev by TreeHugger Robot · 2 years, 9 months ago
  12. 53317c0 Allow not supported for setNrDualConenctivity am: 9a7de0696a am: b485e83f0c by Sooraj Sasindran · 2 years, 9 months ago
  13. b485e83 Allow not supported for setNrDualConenctivity am: 9a7de0696a by Sooraj Sasindran · 2 years, 9 months ago
  14. 208ed2a Camera: VTS: Set proper dataSpace for reprocessing test by Shuzhen Wang · 2 years, 9 months ago
  15. 9f28ba1 Merge "Skip Slot 2 in Radio VTS 1.0 in single sim mode" into stage-aosp-sc-ts-dev am: 33af950235 am: 928d92738a by Shuo Qian · 2 years, 9 months ago
  16. 928d927 Merge "Skip Slot 2 in Radio VTS 1.0 in single sim mode" into stage-aosp-sc-ts-dev am: 33af950235 by Shuo Qian · 2 years, 9 months ago
  17. 9a7de06 Allow not supported for setNrDualConenctivity by Sooraj Sasindran · 2 years, 9 months ago
  18. 33af950 Merge "Skip Slot 2 in Radio VTS 1.0 in single sim mode" into stage-aosp-sc-ts-dev by Shuo Qian · 2 years, 9 months ago
  19. a21b943 Merge "Revert "Expand Gatekeeper test"" into stage-aosp-sc-ts-dev am: 6377593930 am: d6aff4eb6c by TreeHugger Robot · 2 years, 9 months ago
  20. d6aff4e Merge "Revert "Expand Gatekeeper test"" into stage-aosp-sc-ts-dev am: 6377593930 by TreeHugger Robot · 2 years, 9 months ago
  21. 6377593 Merge "Revert "Expand Gatekeeper test"" into stage-aosp-sc-ts-dev by TreeHugger Robot · 2 years, 9 months ago
  22. 6a56b7f Skip Slot 2 in Radio VTS 1.0 in single sim mode by Shuo Qian · 2 years, 9 months ago
  23. 3fba828 Merge "Fix VtsHalWifiSupplicantV1_3TargetTest fail" into stage-aosp-sc-ts-dev am: 3dedd1a0fe am: dc8303b4ae by TreeHugger Robot · 2 years, 9 months ago
  24. 666e59d vts(wifi): Stop wifi fully instead of stopping framework am: 874239047a am: 356437d474 by Roshan Pius · 2 years, 9 months ago
  25. dc8303b Merge "Fix VtsHalWifiSupplicantV1_3TargetTest fail" into stage-aosp-sc-ts-dev am: 3dedd1a0fe by TreeHugger Robot · 2 years, 9 months ago
  26. 356437d vts(wifi): Stop wifi fully instead of stopping framework am: 874239047a by Roshan Pius · 2 years, 9 months ago
  27. 3dedd1a Merge "Fix VtsHalWifiSupplicantV1_3TargetTest fail" into stage-aosp-sc-ts-dev by TreeHugger Robot · 2 years, 9 months ago
  28. 8686354 Revert "Expand Gatekeeper test" by Janis Danisevskis · 2 years, 9 months ago
  29. 12ab218 Fix VtsHalWifiSupplicantV1_3TargetTest fail by henry-th.yeh · 3 years, 7 months ago
  30. 8742390 vts(wifi): Stop wifi fully instead of stopping framework by Roshan Pius · 3 years, 7 months ago
  31. 779b620 Fix closure of record thread am: f8a4e2ae3a am: 13a2db008e by Pierre Couillaud · 2 years, 9 months ago
  32. 13a2db0 Fix closure of record thread am: f8a4e2ae3a by Pierre Couillaud · 2 years, 9 months ago
  33. f8a4e2a Fix closure of record thread by Pierre Couillaud · 2 years, 10 months ago
  34. 2f71316 Merge "Vts: thermal: Test notification with an unregistered local callback" into stage-aosp-sc-ts-dev am: c11fad6ab4 am: 04b2f49508 by TreeHugger Robot · 2 years, 9 months ago
  35. 04b2f49 Merge "Vts: thermal: Test notification with an unregistered local callback" into stage-aosp-sc-ts-dev am: c11fad6ab4 by TreeHugger Robot · 2 years, 9 months ago
  36. c11fad6 Merge "Vts: thermal: Test notification with an unregistered local callback" into stage-aosp-sc-ts-dev by TreeHugger Robot · 2 years, 9 months ago
  37. b7fce26 [automerger skipped] KeyMint VTS: add missing purpose/algo am: d1d45c441e -s ours am: 59e69d2563 -s ours by David Drysdale · 2 years, 9 months ago
  38. 59e69d2 [automerger skipped] KeyMint VTS: add missing purpose/algo am: d1d45c441e -s ours by David Drysdale · 2 years, 9 months ago
  39. d1d45c4 KeyMint VTS: add missing purpose/algo by David Drysdale · 2 years, 10 months ago
  40. ff6b20c Vts: thermal: Test notification with an unregistered local callback by Wei Wang · 2 years, 10 months ago
  41. 8ef5b28 [automerger skipped] Merge "AesInvalidKeySize skip 192 on SB devices" into stage-aosp-sc-ts-dev am: 89ec9c60e8 -s ours am: e8e818924e -s ours by Max Bires · 2 years, 9 months ago
  42. e8e8189 [automerger skipped] Merge "AesInvalidKeySize skip 192 on SB devices" into stage-aosp-sc-ts-dev am: 89ec9c60e8 -s ours by Max Bires · 2 years, 9 months ago
  43. 89ec9c6 Merge "AesInvalidKeySize skip 192 on SB devices" into stage-aosp-sc-ts-dev by Max Bires · 2 years, 9 months ago
  44. 3c2da9d AesInvalidKeySize skip 192 on SB devices by Max Bires · 2 years, 9 months ago
  45. 401156a Disable KeyMint -> IRemotelyProvisionedComponent test am: 11860f2984 am: 42909d9d6e by David Drysdale · 2 years, 9 months ago
  46. 42909d9 Disable KeyMint -> IRemotelyProvisionedComponent test am: 11860f2984 by David Drysdale · 2 years, 9 months ago
  47. 11860f2 Disable KeyMint -> IRemotelyProvisionedComponent test by David Drysdale · 3 years, 2 months ago
  48. 358c6f3 Merge "Allow getPsdsExtension to return nullptr" into stage-aosp-sc-ts-dev am: a5a3c1ece1 am: f95af27db6 by TreeHugger Robot · 2 years, 9 months ago
  49. f95af27 Merge "Allow getPsdsExtension to return nullptr" into stage-aosp-sc-ts-dev am: a5a3c1ece1 by TreeHugger Robot · 2 years, 9 months ago
  50. a5a3c1e Merge "Allow getPsdsExtension to return nullptr" into stage-aosp-sc-ts-dev by TreeHugger Robot · 2 years, 9 months ago
  51. a56dd2e Fix use-after-free crash in VtsHalNeuralnetworksTargetTest. am: dee204e13f am: 6713a4f73f by Xusong Wang · 2 years, 10 months ago
  52. 6713a4f Fix use-after-free crash in VtsHalNeuralnetworksTargetTest. am: dee204e13f by Xusong Wang · 2 years, 10 months ago
  53. bca36e5 Allow getPsdsExtension to return nullptr by Yu-Han Yang · 2 years, 10 months ago
  54. dee204e Fix use-after-free crash in VtsHalNeuralnetworksTargetTest. by Xusong Wang · 2 years, 10 months ago
  55. a83a50b [automerger skipped] Quickly exit VtsHalNeuralnetworks*TargetTest on failure am: 8472d91b57 -s ours am: 269219cb69 -s ours by Michael Butler · 2 years, 10 months ago
  56. 269219c [automerger skipped] Quickly exit VtsHalNeuralnetworks*TargetTest on failure am: 8472d91b57 -s ours by Michael Butler · 2 years, 10 months ago
  57. b7f552a Merge "Quickly exit VtsHalNeuralnetworks*TargetTest on failure" into sc-dev am: 1fba40184d by Michael Butler · 2 years, 10 months ago
  58. 1fba401 Merge "Quickly exit VtsHalNeuralnetworks*TargetTest on failure" into sc-dev by Michael Butler · 2 years, 10 months ago
  59. 6f9a07c [automerger skipped] Merge "Quick-fail NNAPI VTS test case if driver is dead" into sc-dev am: 35c0eee315 -s ours by Michael Butler · 2 years, 10 months ago
  60. 35c0eee Merge "Quick-fail NNAPI VTS test case if driver is dead" into sc-dev by Michael Butler · 2 years, 10 months ago
  61. 535f8c8 Quickly exit VtsHalNeuralnetworks*TargetTest on failure by Michael Butler · 2 years, 10 months ago
  62. 8472d91 Quickly exit VtsHalNeuralnetworks*TargetTest on failure by Michael Butler · 2 years, 10 months ago
  63. dbeea00 Quick-fail NNAPI VTS test case if driver is dead am: 77c1b62362 am: 828b7259f5 by Michael Butler · 2 years, 10 months ago
  64. 828b725 Quick-fail NNAPI VTS test case if driver is dead am: 77c1b62362 by Michael Butler · 2 years, 10 months ago
  65. 77c1b62 Quick-fail NNAPI VTS test case if driver is dead by Michael Butler · 2 years, 10 months ago
  66. 669dbab Quick-fail NNAPI VTS test case if driver is dead by Michael Butler · 2 years, 10 months ago
  67. 73d8ae7 Merge "AesInvalidKeySize skip 192 on SB devices" into sc-dev am: f0394173a4 by Max Bires · 2 years, 10 months ago
  68. f039417 Merge "AesInvalidKeySize skip 192 on SB devices" into sc-dev by Max Bires · 2 years, 10 months ago
  69. 9e52270 KeyMint VTS: add missing purpose/algo am: ca76a75096 by David Drysdale · 2 years, 10 months ago
  70. ca76a75 KeyMint VTS: add missing purpose/algo by David Drysdale · 2 years, 10 months ago
  71. 5b7f78d AesInvalidKeySize skip 192 on SB devices by Max Bires · 2 years, 11 months ago
  72. f32b594 Merge "Revert "AesInvalidKeySize skip 192 on SB devices"" into sc-dev am: f332d02bc6 by Bill Richardson · 2 years, 10 months ago
  73. f332d02 Merge "Revert "AesInvalidKeySize skip 192 on SB devices"" into sc-dev by Bill Richardson · 2 years, 10 months ago
  74. 0224cfe Revert "AesInvalidKeySize skip 192 on SB devices" by Max Bires · 2 years, 10 months ago
  75. 1263ac8 Merge "omx: validate only standard OMX roles" into sc-dev am: af592fb124 by TreeHugger Robot · 2 years, 10 months ago
  76. af592fb Merge "omx: validate only standard OMX roles" into sc-dev by TreeHugger Robot · 2 years, 10 months ago
  77. 271616f Merge "AesInvalidKeySize skip 192 on SB devices" into sc-dev am: f24a66f42a by Max Bires · 2 years, 10 months ago
  78. f24a66f Merge "AesInvalidKeySize skip 192 on SB devices" into sc-dev by Max Bires · 2 years, 10 months ago
  79. 58fc79f Merge "Merge stage-aosp-sc-ts-dev before making it an upstream" into sc-dev am: 92f2398542 by TreeHugger Robot · 2 years, 10 months ago
  80. 92f2398 Merge "Merge stage-aosp-sc-ts-dev before making it an upstream" into sc-dev by TreeHugger Robot · 2 years, 10 months ago
  81. 9233f18 Merge "KeyMint VTS: catch empty cert chains" into sc-dev am: 6e46363a34 by David Drysdale · 2 years, 10 months ago
  82. 6e46363 Merge "KeyMint VTS: catch empty cert chains" into sc-dev by David Drysdale · 2 years, 10 months ago
  83. 875e721 Merge stage-aosp-sc-ts-dev before making it an upstream by Xin Li · 2 years, 10 months ago
  84. bb90e84 Merge ab/7633965 by The Android Open Source Project · 2 years, 10 months ago
  85. 3dceda3 Merge "Allow negative value for CorrelationVector#samplingStartM" into sc-dev am: 97f4447645 by TreeHugger Robot · 2 years, 10 months ago
  86. 97f4447 Merge "Allow negative value for CorrelationVector#samplingStartM" into sc-dev by TreeHugger Robot · 2 years, 10 months ago
  87. 7f8fb92 omx: validate only standard OMX roles by Lajos Molnar · 3 years, 7 months ago
  88. 1cc4168 KeyMint VTS: catch empty cert chains by David Drysdale · 2 years, 10 months ago
  89. 5bdea90 Merge "Increase timeout for VtsHalGraphicsComposerV2_4TargetTest" into sc-dev am: b2239ad43f by Marin Shalamanov · 2 years, 10 months ago
  90. b2239ad Merge "Increase timeout for VtsHalGraphicsComposerV2_4TargetTest" into sc-dev by Marin Shalamanov · 2 years, 10 months ago android12-dev
  91. 37f63ed Allow negative value for CorrelationVector#samplingStartM by Shinru Han · 2 years, 10 months ago
  92. eb8b057 AesInvalidKeySize skip 192 on SB devices by Max Bires · 2 years, 11 months ago
  93. b868d54 Merge "Set the minSampleRate > 0 for continuous properties on the AAOS emulator." into sc-dev am: 4f8d0ea1ad by TreeHugger Robot · 2 years, 10 months ago
  94. 4f8d0ea Merge "Set the minSampleRate > 0 for continuous properties on the AAOS emulator." into sc-dev by TreeHugger Robot · 2 years, 10 months ago
  95. e7a2d28 Increase timeout for VtsHalGraphicsComposerV2_4TargetTest by Marin Shalamanov · 2 years, 10 months ago
  96. d1705d5 Set the minSampleRate > 0 for continuous properties on the AAOS emulator. by Tyler Trephan · 2 years, 10 months ago
  97. dbfc243 Merge "wifi: use 1.4 ISupplicant object for 1.4 vts tests" into sc-dev am: fa0fb43978 by TreeHugger Robot · 2 years, 10 months ago
  98. fa0fb43 Merge "wifi: use 1.4 ISupplicant object for 1.4 vts tests" into sc-dev by TreeHugger Robot · 2 years, 10 months ago
  99. 237a6ea wifi: use 1.4 ISupplicant object for 1.4 vts tests by Jimmy Chen · 2 years, 10 months ago
  100. 2165d64 Merge "Update EVS VTS test case" into sc-dev am: bdc4eebc1a by TreeHugger Robot · 2 years, 10 months ago